Skip to main content

Technology offer

The IMB-CNM Integrated Clean Room includes equipment for micro and nanofabrication processes mainly based on silicon technologies for wafers of 100mm and 150mm, but can also operate with substrates of different materials and sizes on demand. Its structure allows flexible operation, which makes it especially suitable for R+D+i.

Thermal Processes

The following thermal and Chemical Vapour Deposition based processes are available:

  • Thermal Oxidation, Annealing and Diffusion processes
  • Deposition of Silicon Oxide, Silicon Nitride, Polycrystalline and Amorphous Silicon by LPCVD
  • Deposition of Silicon Oxide, Silicon Nitride and BPSG by PECVD
  • Deposition of High-k Dielectrics by ALD

Ion Implantation

  • Precise control on doping depth profile.
  • Low temperature process, i. e. compatible with photoresist mask.
  • Wide selection of masking materials: photoresist, oxide, poly-Si, metal…
  • Lateral doping uniformity.

Two medium-current ion implanters are available at IMB-CNM to implant different atomic species: B, P, As, N, Al, Si, Mg, O, He...

Dry Etching

A number of dry etching (plasma-based) systems and processes are available:

  • Reactive Ion Etching (RIE) systems for aluminum, polysilicon, silicon oxide and silicon nitride materials.
  • Deep reactive Ion Etching (DRIE) systems for deep silicon and silicon dioxide etching.
  • Photoresist ashing.

Inspection and measurement

  • Optical Microscopy
  • Thin film thickness measurement by Spectral Reflectance
  • Spectral Ellipsometry
  • 3D optical Profilometry
  • Mechanical Profilometry
  • FT-IR Spectroscopy
  • Sheet Resistance measurement
  • Bow and Thickness measurement
  • Life Time measurement

Metallization

  • Metal thin films of a variety of materials can be deposited by Physical Vapor Deposition techniques on either DC and DC/RF sputtering systems, or on thermal and e-beam evaporation systems.

Microsystems Processes

Various microsystems-specific processes are available:

  • Silicon anisotropic wet etching with alkaline solutions.
  • Surface micromachining (sacrificial layer etching).
  • Critical point drying for releasing micromachined structures.
  • Lift-off etching processes.

Nanolithography

The following processes are available for achieving sub-100 nm resolution:

  • Electron beam lithography (EBL)
  • AFM based nanofabrication
  • Nanoimprint lithography
  • Focused Ion Beam (FIB)
  • Scanning Electron Microscopy (SEM)

Wet Etching and Cleaning Processes

  • Metal and dielectric wet (isotropic) etching.
  • Surface cleaning.
  • Photoresist stripping: oxygen plasma and wet stripping.

Photolithography

The following systems are available for standard optical lithography:

  • Automatic coater/developer system
  • Contact/proximity and double-side contact/proximity mask aligners
  • i-line Stepper
  • Mask-Less Laser Lithography (i-line)
  • Automatic mask cleaner

Packaging

  • Wafer dicing.
  • Die bonding processes, including SMD and flip-chip die assembly.
  • Wire bonding.

Electrical Characterisation

  • Device Characterization and parameter extraction.
  • Parametric test of fabricated wafers.
  • Test structure design and characterisation.
  • Development of new measurement techniques.